blob: d187dd594bcf6fd78a9ac26e4c5cdd91c2a43593 [file] [log] [blame]
Serge Bazanski0ec2e312023-07-09 13:22:45 +02001{
2 "board": {
3 "3dviewports": [],
4 "design_settings": {
5 "defaults": {
6 "board_outline_line_width": 0.09999999999999999,
7 "copper_line_width": 0.19999999999999998,
8 "copper_text_italic": false,
9 "copper_text_size_h": 1.5,
10 "copper_text_size_v": 1.5,
11 "copper_text_thickness": 0.3,
12 "copper_text_upright": false,
13 "courtyard_line_width": 0.049999999999999996,
14 "dimension_precision": 4,
15 "dimension_units": 3,
16 "dimensions": {
17 "arrow_length": 1270000,
18 "extension_offset": 500000,
19 "keep_text_aligned": true,
20 "suppress_zeroes": false,
21 "text_position": 0,
22 "units_format": 1
23 },
24 "fab_line_width": 0.09999999999999999,
25 "fab_text_italic": false,
26 "fab_text_size_h": 1.0,
27 "fab_text_size_v": 1.0,
28 "fab_text_thickness": 0.15,
29 "fab_text_upright": false,
30 "other_line_width": 0.15,
31 "other_text_italic": false,
32 "other_text_size_h": 1.0,
33 "other_text_size_v": 1.0,
34 "other_text_thickness": 0.15,
35 "other_text_upright": false,
36 "pads": {
37 "drill": 0.762,
38 "height": 1.524,
39 "width": 1.524
40 },
41 "silk_line_width": 0.15,
42 "silk_text_italic": false,
43 "silk_text_size_h": 1.0,
44 "silk_text_size_v": 1.0,
45 "silk_text_thickness": 0.15,
46 "silk_text_upright": false,
47 "zones": {
48 "min_clearance": 0.5
49 }
50 },
51 "diff_pair_dimensions": [
52 {
53 "gap": 0.0,
54 "via_gap": 0.0,
55 "width": 0.0
56 }
57 ],
58 "drc_exclusions": [],
59 "meta": {
60 "version": 2
61 },
62 "rule_severities": {
63 "annular_width": "error",
64 "clearance": "error",
65 "connection_width": "warning",
66 "copper_edge_clearance": "error",
67 "copper_sliver": "warning",
68 "courtyards_overlap": "error",
69 "diff_pair_gap_out_of_range": "error",
70 "diff_pair_uncoupled_length_too_long": "error",
71 "drill_out_of_range": "error",
72 "duplicate_footprints": "warning",
73 "extra_footprint": "warning",
74 "footprint": "error",
75 "footprint_type_mismatch": "ignore",
76 "hole_clearance": "error",
77 "hole_near_hole": "error",
78 "invalid_outline": "error",
79 "isolated_copper": "warning",
80 "item_on_disabled_layer": "error",
81 "items_not_allowed": "error",
82 "length_out_of_range": "error",
83 "lib_footprint_issues": "warning",
84 "lib_footprint_mismatch": "warning",
85 "malformed_courtyard": "error",
86 "microvia_drill_out_of_range": "error",
87 "missing_courtyard": "ignore",
88 "missing_footprint": "warning",
89 "net_conflict": "warning",
90 "npth_inside_courtyard": "ignore",
91 "padstack": "warning",
92 "pth_inside_courtyard": "ignore",
93 "shorting_items": "error",
94 "silk_edge_clearance": "warning",
95 "silk_over_copper": "warning",
96 "silk_overlap": "warning",
97 "skew_out_of_range": "error",
98 "solder_mask_bridge": "error",
99 "starved_thermal": "error",
100 "text_height": "warning",
101 "text_thickness": "warning",
102 "through_hole_pad_without_hole": "error",
103 "too_many_vias": "error",
104 "track_dangling": "warning",
105 "track_width": "error",
106 "tracks_crossing": "error",
107 "unconnected_items": "error",
108 "unresolved_variable": "error",
109 "via_dangling": "warning",
110 "zones_intersect": "error"
111 },
112 "rules": {
113 "max_error": 0.005,
114 "min_clearance": 0.0,
115 "min_connection": 0.0,
116 "min_copper_edge_clearance": 0.0,
117 "min_hole_clearance": 0.25,
118 "min_hole_to_hole": 0.25,
119 "min_microvia_diameter": 0.19999999999999998,
120 "min_microvia_drill": 0.09999999999999999,
121 "min_resolved_spokes": 2,
122 "min_silk_clearance": 0.0,
123 "min_text_height": 0.7999999999999999,
124 "min_text_thickness": 0.08,
125 "min_through_hole_diameter": 0.3,
126 "min_track_width": 0.0,
127 "min_via_annular_width": 0.09999999999999999,
128 "min_via_diameter": 0.5,
129 "solder_mask_clearance": 0.0,
130 "solder_mask_min_width": 0.0,
131 "solder_mask_to_copper_clearance": 0.0,
132 "use_height_for_length_calcs": true
133 },
134 "teardrop_options": [
135 {
136 "td_allow_use_two_tracks": true,
137 "td_curve_segcount": 5,
138 "td_on_pad_in_zone": false,
139 "td_onpadsmd": true,
140 "td_onroundshapesonly": false,
141 "td_ontrackend": false,
142 "td_onviapad": true
143 }
144 ],
145 "teardrop_parameters": [
146 {
147 "td_curve_segcount": 0,
148 "td_height_ratio": 1.0,
149 "td_length_ratio": 0.5,
150 "td_maxheight": 2.0,
151 "td_maxlen": 1.0,
152 "td_target_name": "td_round_shape",
153 "td_width_to_size_filter_ratio": 0.9
154 },
155 {
156 "td_curve_segcount": 0,
157 "td_height_ratio": 1.0,
158 "td_length_ratio": 0.5,
159 "td_maxheight": 2.0,
160 "td_maxlen": 1.0,
161 "td_target_name": "td_rect_shape",
162 "td_width_to_size_filter_ratio": 0.9
163 },
164 {
165 "td_curve_segcount": 0,
166 "td_height_ratio": 1.0,
167 "td_length_ratio": 0.5,
168 "td_maxheight": 2.0,
169 "td_maxlen": 1.0,
170 "td_target_name": "td_track_end",
171 "td_width_to_size_filter_ratio": 0.9
172 }
173 ],
174 "track_widths": [
175 0.0,
176 0.25,
177 0.5,
178 1.5
179 ],
180 "via_dimensions": [
181 {
182 "diameter": 0.0,
183 "drill": 0.0
184 }
185 ],
186 "zones_allow_external_fillets": false
187 },
188 "layer_presets": [],
189 "viewports": []
190 },
191 "boards": [],
192 "cvpcb": {
193 "equivalence_files": []
194 },
195 "erc": {
196 "erc_exclusions": [],
197 "meta": {
198 "version": 0
199 },
200 "pin_map": [
201 [
202 0,
203 0,
204 0,
205 0,
206 0,
207 0,
208 1,
209 0,
210 0,
211 0,
212 0,
213 2
214 ],
215 [
216 0,
217 2,
218 0,
219 1,
220 0,
221 0,
222 1,
223 0,
224 2,
225 2,
226 2,
227 2
228 ],
229 [
230 0,
231 0,
232 0,
233 0,
234 0,
235 0,
236 1,
237 0,
238 1,
239 0,
240 1,
241 2
242 ],
243 [
244 0,
245 1,
246 0,
247 0,
248 0,
249 0,
250 1,
251 1,
252 2,
253 1,
254 1,
255 2
256 ],
257 [
258 0,
259 0,
260 0,
261 0,
262 0,
263 0,
264 1,
265 0,
266 0,
267 0,
268 0,
269 2
270 ],
271 [
272 0,
273 0,
274 0,
275 0,
276 0,
277 0,
278 0,
279 0,
280 0,
281 0,
282 0,
283 2
284 ],
285 [
286 1,
287 1,
288 1,
289 1,
290 1,
291 0,
292 1,
293 1,
294 1,
295 1,
296 1,
297 2
298 ],
299 [
300 0,
301 0,
302 0,
303 1,
304 0,
305 0,
306 1,
307 0,
308 0,
309 0,
310 0,
311 2
312 ],
313 [
314 0,
315 2,
316 1,
317 2,
318 0,
319 0,
320 1,
321 0,
322 2,
323 2,
324 2,
325 2
326 ],
327 [
328 0,
329 2,
330 0,
331 1,
332 0,
333 0,
334 1,
335 0,
336 2,
337 0,
338 0,
339 2
340 ],
341 [
342 0,
343 2,
344 1,
345 1,
346 0,
347 0,
348 1,
349 0,
350 2,
351 0,
352 0,
353 2
354 ],
355 [
356 2,
357 2,
358 2,
359 2,
360 2,
361 2,
362 2,
363 2,
364 2,
365 2,
366 2,
367 2
368 ]
369 ],
370 "rule_severities": {
371 "bus_definition_conflict": "error",
372 "bus_entry_needed": "error",
373 "bus_to_bus_conflict": "error",
374 "bus_to_net_conflict": "error",
375 "conflicting_netclasses": "error",
376 "different_unit_footprint": "error",
377 "different_unit_net": "error",
378 "duplicate_reference": "error",
379 "duplicate_sheet_names": "error",
380 "endpoint_off_grid": "warning",
381 "extra_units": "error",
382 "global_label_dangling": "warning",
383 "hier_label_mismatch": "error",
384 "label_dangling": "error",
385 "lib_symbol_issues": "warning",
386 "missing_bidi_pin": "warning",
387 "missing_input_pin": "warning",
388 "missing_power_pin": "error",
389 "missing_unit": "warning",
390 "multiple_net_names": "warning",
391 "net_not_bus_member": "warning",
392 "no_connect_connected": "warning",
393 "no_connect_dangling": "warning",
394 "pin_not_connected": "error",
395 "pin_not_driven": "error",
396 "pin_to_pin": "error",
397 "power_pin_not_driven": "error",
398 "similar_labels": "warning",
399 "simulation_model_issue": "error",
400 "unannotated": "error",
401 "unit_value_mismatch": "error",
402 "unresolved_variable": "error",
403 "wire_dangling": "error"
404 }
405 },
406 "libraries": {
407 "pinned_footprint_libs": [],
408 "pinned_symbol_libs": []
409 },
410 "meta": {
411 "filename": "hacklock-2137.kicad_pro",
412 "version": 1
413 },
414 "net_settings": {
415 "classes": [
416 {
417 "bus_width": 12,
418 "clearance": 0.2,
419 "diff_pair_gap": 0.25,
420 "diff_pair_via_gap": 0.25,
421 "diff_pair_width": 0.2,
422 "line_style": 0,
423 "microvia_diameter": 0.3,
424 "microvia_drill": 0.1,
425 "name": "Default",
426 "pcb_color": "rgba(0, 0, 0, 0.000)",
427 "schematic_color": "rgba(0, 0, 0, 0.000)",
428 "track_width": 0.25,
429 "via_diameter": 0.8,
430 "via_drill": 0.4,
431 "wire_width": 6
432 },
433 {
434 "bus_width": 12,
435 "clearance": 0.2,
436 "diff_pair_gap": 0.25,
437 "diff_pair_via_gap": 0.25,
438 "diff_pair_width": 0.2,
439 "line_style": 0,
440 "microvia_diameter": 0.3,
441 "microvia_drill": 0.1,
442 "name": "pwr",
443 "pcb_color": "rgba(0, 0, 0, 0.000)",
444 "schematic_color": "rgba(0, 0, 0, 0.000)",
445 "track_width": 0.35,
446 "via_diameter": 0.8,
447 "via_drill": 0.4,
448 "wire_width": 6
449 },
450 {
451 "bus_width": 12,
452 "clearance": 0.2,
453 "diff_pair_gap": 0.25,
454 "diff_pair_via_gap": 0.25,
455 "diff_pair_width": 0.2,
456 "line_style": 0,
457 "microvia_diameter": 0.3,
458 "microvia_drill": 0.1,
459 "name": "relayio",
460 "pcb_color": "rgba(0, 0, 0, 0.000)",
461 "schematic_color": "rgba(0, 0, 0, 0.000)",
462 "track_width": 1.5,
463 "via_diameter": 0.8,
464 "via_drill": 0.4,
465 "wire_width": 6
466 }
467 ],
468 "meta": {
469 "version": 3
470 },
471 "net_colors": null,
472 "netclass_assignments": {
473 "Net-(J8-Pin_1)": "relayio",
474 "Net-(J8-Pin_2)": "relayio",
475 "Net-(J8-Pin_3)": "relayio",
476 "Net-(J9-Pin_1)": "relayio",
477 "Net-(J9-Pin_2)": "relayio",
478 "Net-(J9-Pin_3)": "relayio"
479 },
480 "netclass_patterns": [
481 {
482 "netclass": "pwr",
483 "pattern": "GND"
484 },
485 {
486 "netclass": "pwr",
487 "pattern": "+5V"
488 },
489 {
490 "netclass": "pwr",
491 "pattern": "+24V"
492 },
493 {
494 "netclass": "pwr",
495 "pattern": "+3V3"
496 },
497 {
498 "netclass": "pwr",
499 "pattern": "/VBUS"
500 },
501 {
502 "netclass": "relayio",
503 "pattern": "/PWR1"
504 },
505 {
506 "netclass": "relayio",
507 "pattern": "/PWR2"
508 }
509 ]
510 },
511 "pcbnew": {
512 "last_paths": {
513 "gencad": "",
514 "idf": "",
515 "netlist": "",
516 "specctra_dsn": "",
517 "step": "",
518 "vrml": ""
519 },
520 "page_layout_descr_file": ""
521 },
522 "schematic": {
523 "annotate_start_num": 0,
524 "drawing": {
525 "dashed_lines_dash_length_ratio": 12.0,
526 "dashed_lines_gap_length_ratio": 3.0,
527 "default_line_thickness": 6.0,
528 "default_text_size": 50.0,
529 "field_names": [],
530 "intersheets_ref_own_page": false,
531 "intersheets_ref_prefix": "",
532 "intersheets_ref_short": false,
533 "intersheets_ref_show": false,
534 "intersheets_ref_suffix": "",
535 "junction_size_choice": 3,
536 "label_size_ratio": 0.375,
537 "pin_symbol_size": 25.0,
538 "text_offset_ratio": 0.15
539 },
540 "legacy_lib_dir": "",
541 "legacy_lib_list": [],
542 "meta": {
543 "version": 1
544 },
545 "net_format_name": "",
546 "page_layout_descr_file": "",
547 "plot_directory": "pdf",
548 "spice_current_sheet_as_root": false,
549 "spice_external_command": "spice \"%I\"",
550 "spice_model_current_sheet_as_root": true,
551 "spice_save_all_currents": false,
552 "spice_save_all_voltages": false,
553 "subpart_first_id": 65,
554 "subpart_id_separator": 0
555 },
556 "sheets": [
557 [
558 "1717a0d8-8be8-47e4-bcc2-c0169f9822b1",
559 ""
560 ]
561 ],
562 "text_variables": {}
563}